Registrierung Kalender Mitgliederliste Teammitglieder Suche Häufig gestellte Fragen Zur Startseite

Informatiker Board » Themengebiete » Technische Informatik » Zeitdiagramm Verständnisfrage » Antwort erstellen » Hallo Gast [Anmelden|Registrieren]

Antwort erstellen
Benutzername: (du bist nicht eingeloggt!)
Thema:
Nachricht:

HTML ist nicht erlaubt
BBCode ist erlaubt
Smilies sind erlaubt
Bilder sind erlaubt

Smilies: 21 von 33
smileWinkDaumen hoch
verwirrtAugenzwinkerngeschockt
Mit ZungeGottunglücklich
Forum Kloppebösegroßes Grinsen
TanzentraurigProst
TeufelSpamWillkommen
LehrerLOL HammerZunge raus
Hilfe 
aktuellen Tag schließen
alle Tags schließen
fettgedruckter Textkursiver Textunterstrichener Text zentrierter Text Hyperlink einfügenE-Mail-Adresse einfügenBild einfügen Zitat einfügenListe erstellen CODE einfügenPHP CODE farbig hervorheben
Spamschutz:
Text aus Bild eingeben
Spamschutz

Die letzten 6 Beiträge
deppensido

hallo,

dank deiner Erklärung habe ich jetzt alle Signalverläufe verstanden. x1 & x2 ist einfach immer dann eins, wenn die Verläufe x1, x2 beide eins sind. Analog x2 & y. In meinen Aufgaben werden die Eingangssignale hier x1, x2 vorgegeben. Dann werd ich mich jetzt mal an meinen Übungsaufgaben hierzu begeben.

Nochmals Danke!

Grüße
eulerscheZahl

Das einfachere Beispiel ist die Bildung des Signals y(t):
Die Eingänge in das Gatter sind x1 und x2. Der Ausgang ist (ohne Verzögerungszeit) das, was das Gatter aus den Eingängen macht, also eine Und-Verknüpfung. (siehe 3. Zeile in meinem Anhang)
Ist es bis hierhin klar?

Das Gatter funktioniert aber nicht beliebig schnell, weswegen das eigentlich erwartete Ausgangssignal mit einer Verzögerung von 10ns kommt.
Und das ist dann y(t).
Im Graphen ist y(t) also die Und-Verknüpfung aus x1 und x2, nur um 10ns nach rechts verschoben.
deppensido

ehrlich gesagt, versteh ich immer noch nichts. Gibt es vielleicht noch ein einfacheres Beispiel?
eulerscheZahl

Vergessen, beim Bildanhang auf speichern zu klicken...

eulerscheZahl hat dieses Bild (verkleinerte Version) angehängt:
Unbenannt2.png

eulerscheZahl

Ich habe in die Grafik mal ein paar Zwischenwerte eungefügt.
Es wird zunächst x1&x2 bestimmt. Da das Bauteil aber eine Verzögerungszeit besitzt, muss das erhaltene Signal noch um 10ns verschoben werden, um y zu erhalten.
Ebenso beim Übergang zu z, wobei das Signal hier zusätzlich invertiert wird.
deppensido Zeitdiagramm Verständnisfrage

Hallo,

ich versuche gerade vergeblich nachzuvollziehen, wie man das Zeitdiagramm aus'm Anhang konstruiert. Ich hoffe mir kann das jemand ganz ausführlich erklären. Bin dankbar für jede Hilfe.

Grüße

deppensido hat dieses Bild (verkleinerte Version) angehängt:
Verzögerungszeiten.png